DSpace logo

Please use this identifier to cite or link to this item: http://dspace.bits-pilani.ac.in:8080/jspui/xmlui/handle/123456789/12819
Full metadata record
DC FieldValueLanguage
dc.contributor.authorRao, V. Ramgopal-
dc.date.accessioned2023-11-02T10:33:00Z-
dc.date.available2023-11-02T10:33:00Z-
dc.date.issued2011-08-
dc.identifier.urihttps://ieeexplore.ieee.org/document/6144557-
dc.identifier.urihttp://dspace.bits-pilani.ac.in:8080/xmlui/handle/123456789/12819-
dc.description.abstractIn this paper we have studied the application of porphyrin self-assembled monolayers (SAMs) for metal-gate work function tuning in high-k/metal gate technologies. Varying the dipole moment in porphyrin macrocycles by changing its central metal ion has been used to modify the work function. For HFCV analysis, porphyrin SAM was prepared on MOCVD grown hafnium oxide (HfO 2 ) and on sputtered aluminum oxide (Al 2 O 3 ) gate oxides followed by Al evaporation to form MOS capacitors. UV absorption and FTIR spectra show the formation of SAM on high-k while the thermal gravimetric analysis (TGA) on Zn-porphyrin shows that the molecule is stable upto 450°C and can be effectively implemented in high-k/metal gate technologies involving gate-last CMOS processes.en_US
dc.language.isoenen_US
dc.publisherIEEEen_US
dc.subjectEEEen_US
dc.subjectWork function tuningen_US
dc.subjectPorphyrinen_US
dc.subjectDipoleen_US
dc.subjectSelf-assembled monolayer (SAM)en_US
dc.titleBottom-up method for work function tuning in high-k/metal gate stacks in advanced CMOS technologiesen_US
dc.typeArticleen_US
Appears in Collections:Department of Electrical and Electronics Engineering

Files in This Item:
There are no files associated with this item.


Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.